Prof.A M Mahajan

Prof.A M Mahajan


Seminar/Talks

  • Plenary/Invited/Popular/Honorary Talks Delivered: 51

  1. An invited talk on “Review of Literature & Research: Basic Concepts & Its need, Literature Search” for Ph.D. students of Science & Technology, Law and Library & information Science (interdisciplinary) faculties of KBCNMU, Jalgaon during Pre-PhD Workshop-2023 on 6th February-2021
  2. Invited talk on “Science day talk on nano materials and Devices”delivered in Science day organized by Veer Narmad South Gujrath University, Surat, Gujarat, India during 28th& 29th October-2021
  3. Plenary talk on “Novel High-K/Metal Gate (HK/MG) Stacks for Advanced CMOS Technology” delivered in “Details of Lecturer in ECWW series weekend webinar” organized by Siksha 'O' Anusandhan Deemed to be University Bhubaneswar, Odisha, India held on 22nd January 2022.

  1. Plenary talk on “Novel High-k/Metal gate stacks for advanced CMOS technology” delivered at virtual international conference on EMERGING TRENDS IN APPLIED SCIENCES, organized by Veer Narmad South Gujrath University, Surat, Gujarat, India during 28th& 29th October-2021.
  2. An expert talk on “Research Paper Submission, Opportunity for Research and Research Publication” delivered at G D Bendale College, Jalgaon on 22/10/2021.
  3. An invited talk onNovel High-k/Metal gate stacks (@MDLN) for advanced CMOS technology” delivered atTokushima University, Tokushima, JAPAN during SAKURA science exchange workshop on 2nd March-2021 (online)
  4. An invited talk onResearch: Basic Concepts &Literature Search”For Ph.D. students of Science & Technology, Law and Library & infromation Science faculties of KBCNMU, Jalgaon during Pre-PhD Workshop-2021 on 1st February-2021.
  5. An invited talk on “Investigation of novel High-k/Metal gate stacks (@MDLN) for advanced CMOS technology” delivered at KLEFUniversity, Vaddeswarm, Guntur, AP, INDIA innational level Faculty Development Program (FDP) for Electronics Teachers/Professors   on 16/09/2020(online).
  6. A talk entitled “Novel High-k……..” on 15th November-2019 in Research group of Prof XinPing Qu at School of Microelectronics, Fudan University, Shanghai, China
  7. Invited talk entitled“Role of Internationalisation  in Higher Education institutions in India
    with Reference to Kavayitri Bahinabai Chaudhari North Maharashtra  University” in International conference on capacity building in higher education institutions-ICBHE-2019, held during 5th -6th Sept-2019 at WUST, Wroclaw, Poland
  8. A talk entitled “Novel High-k/metal gate (HKMG) stacks for advanced CMOS technology” on 17th June-2019 at School of Microelectronics, Fudan University, Shanghai, China.
  9. Distinguished lecture on “internationalization in HEIs” at G H Raisoni, Sausar, dist Chhindwara, Madhya Pradesh (at Nagpur head quarter) on 20th May-2019.
  10. Invited talkfor Master students entitled “Overview of an advanced CMOS technology (Micro to Nano electronics)” delivered at North China University of Technology (NCUT) on 23th Oct. 2018 Beijing, China.
  11. A report for Master and Research Students entitled “High-k and Low-k ultra thin films based MOS devices for advanced CMOS technology” delivered atChina Agricultural University (CAU), Beijing, China on 21nd Oct. 2018.
  12. Invited talk for Master and Research Students entitled “Investigation of novel High-k/Metal gate stacks (@MDLN) for advanced CMOS technology” delivered atInstitute of Microelectronics Chinese academy of Sciences (IMECAS), Beijing, China on 21nd Oct. 2018.
  13. Invited talk for UG students entitled “Introduction toadvanced CMOS technology” delivered at North China University of Technology (NCUT) on 19th Oct. 2018 Beijing, China.
  14. Invited talk for UG studentsentitled“Introductory talk on digital logic system design and VHDL” delivered at North China University of Technology (NCUT) on 17th Oct. 2018 Beijing, China.
  15. Invited talk for Master and Research Students entitled “Low-k and high-k materials and thin films” delivered atChina Agricultural University, Beijing, China on 16th Oct. 2018.
  16. Invited talk for Master and Research Studentsentitled “Low-k and High-k materials and thin films for ULSI technology” delivered at North China University of Technology (NCUT) on 16th Oct. 2018 Beijing, China.
  17. A report entitled “deposition and characterization of Dielectric thin films for advanced CMOS technology” delivered atChina Agricultural University (CAU), Beijing, China on 14th Oct. 2018.
  18. Delivered talkon “Low-k and High-k based MOS Devices for advance CMOS technology”in the Department of Materials Science and Engineering, NTUST, Taipei, Taiwanon 25th May-2018.
  19. Speaker for state level ‘LONAR SCIENCE FESTIVAL’ at Late Ku. Durga K. Banmeru Science College, Lonar &Swami Ramanand Teerth Marathwada University, Nanded during 27th to 28th Feb. 2018.
  20. Keynote speaker on “IPR issues in research” at Dr. Annasaheb G.D. Bendale Mahila Mahavidyalaya, Jalgaon on 13th March 2018.
  21. Invited talk entitled “High-k/low-k thin films based MOS devices for advanced CMOS technology” at Department of Materials Science and Engineering, NCTU, Hsinchu, Taiwan on20th Oct. 2017.
  22. Invited talk entitled “Dielectric thin films based MOS devices for advanced CMOS technology” delivered atApplied Physics department, China Agricultural University, Beijing, China on 17th Oct. 2017.
  23. Invited talk entitled “Spin on low-k thin films for inter layer dielectric (ILD) applications” delivered at North China University of Technology (NCUT) during 15thOct. – 17th Oct. 2017 Beijing, China.
  24. Invited talk entitled “Development of Low-k Film in North Maharashtra University delivered at North China University of Technology (NCUT) during 29thOct. -2ndNov. 2016 Beijing, China.
  25. A talk entitled, “International collaborations of North Maharashtra University: a case of European educational institutions”, delivered at School of Physical Sciences, North Maharashtra University, Jalgaon 4th October 2015.
  26. A talk entitled, “Promoting internationalization in higher education at North Maharashtra University”, delivered in International Symposium on Global Engineering Education held at University of Tokushima, Tokushima, Japan during 19th-20th March 2015.
  27. A talk entitled, “Novel Dielectric Materials and MOS Structures for Future ULSI Technology”, delivered at CETYS University, Ensenada campus, Mexico on 1/6/ 2014.
  28. A talk entitled “Research methodology and research career”, delivered at Dr. Babasaheb Ambedkar Marathwada University, Aurangabad on 21st June 2014.
  29. A talk entitled “Role of INUP CEN facility in improvement research activity”, delivered at CEN, IIT Bombay during ‘1st Familiarization Workshop Phase II’ on 26th May 2014.
  30. A talk entitled “Leadership role of North Maharashtra University promoting innovation in engineering education”, delivered at University of Tokushima, Japan during “International symposium on global engineering education” during 3rd-5th March 2013.
  31. A talk entitled “Introduction to Nanoelectronics”, delivered at University Department of Chemical Technology, North Maharashtra University, Jalgaon during AICTE sponsored Staff Development Program on 'Recent Advances in Polymer Technology' during June 15-30, 2011.
  32. A talk entitled “Nanofabrication Techniques”, delivered at University Department of Chemical Technology, North Maharashtra University, Jalgaon during Second Professional Certificate Course on Nanotechnology during 11th -15th May 2011.
  33. A talk entitled “Introduction to Nanoelectronics”, delivered at University Department of Chemical Technology, North Maharashtra University, Jalgaon during May 2010.
  34. A talk entitled “Recommendation of national knowledge commission “at Dr. Annasaheb G.D. BendaleMahilaMahavidyalay, Jalgaon during Jan. 2010.
  35. A talk entitled “Nanofabrication and nanolithography”, delivered at University Department of Chemical Technology, North Maharashtra University, Jalgaon during Dec. 2009.
  36. A talk entitled “IC fabrication technology”, delivered at Suresh dada Jain Engineering College, Jalgaon during Feb. 2008.
  37. A talk entitled “Material Deposition Systems” delivered to the participants of refresher course conducted by Dr. Babasaheb Ambedkar Marathwada University, Aurangabad during Oct. 2007.
  38. An invited talk entitled “Dielectric films for Micro/Nano Electronics Applications” delivered in the 2nd National seminar on Materials preparation and characterization held at Dept. of Physics, RTM Nagpur University, Nagpur during 30th- 31st March 2007.
  39. Delivered a popular lecture on “Career Opportunities in Electronics after B.Sc.” at Dr. Annasaheb G.D. Bendale Mahila Mahavidyalaya, Jalgaon during Jan. 2007.
  40. A talk entitled “IC fabrication Technology” delivered to the participants of refresher course conducted by Dr. Babasaheb Ambedkar Marathwada University, Aurangabad during 2006.
  41. A talk entitled “Growth and Characterization of Low-k dielectric films for microelectronics Applications” delivered at Liquid crystal research center at University of Calabria, Rende, Cosenza, Italy during Nov. 2006.
  42. An invited talk entitled “design and fabrication of PECVD system for high growth rate deposition of SiO2 films” delivered to the participants of DST sponsored National workshopOICS-2004 held at North Maharashtra University, Jalgaon during29th-30July 2004.          
  43. A talk entitled “VLSI fabrication technology” delivered to the graduate and master students at Korea Advanced Institute of Science and Technology (KAIST), Daejeon, South Korea on 28th April 2004.
  44. A talk entitled “development of PECVD system for high growth rate deposition of SiO2 films” delivered to the researchers of Photonics research center at Korea Institute of Science and Technology (KIST), Seoul, South Korea on 27th April 2004.
  45. An invited guest lecture entitled “VLSI fabrication technology” delivered for the E& TC students of S B T Engineering College, Bambhori, Jalgaon March 2004.
  46. An invited talk entitled “development of PECVD system for the deposition of SiO2 films” during an international conference ICOT-2004 held at North Maharashtra University, Jalgaon during Jan. 2004”.
  47. Talk delivered to the participants of refresher course in Physics conducted by North Maharashtra University, Jalgaon as a resource person during Nov. 2001.
  48. Honorary talk delivered to the participants at Academic Staff College, Jawaharlal Technological University, and Hyderabad Sept. 2001.
  49. Honorary Lecture delivered at the Academic staff College, Karnataka University, Dharwad to the participants of Refresher course Nov. 1993.

Stay Up to Date With What's Happening

Contact Details